Quantcast
Channel: Lothar Miller - CPLD
Browsing all 4 articles
Browse latest View live

Einfacher SPI-Slave

Sollen weniger Register verwendet werden, empfiehlt sich diese Implementierung. Für 32 Ein- und Ausgänge sind 64 Flipflops (FFs) nötig. Je zu übertragendes Bit wird 1 FF im Schieberegister und 1 FF im...

View Article



SPI-Slave im CPLD

Möchte man seine EA-Ports erweitern, bietet sich das SPI Protokoll geradezu an, denn fast jeder uC hat eine solche Schnittstelle. Und falls keine da ist, kann sie leicht in Software gehackt werden. Auf...

View Article

Image may be NSFW.
Clik here to view.

RS232 IO

Ein CPLD eignet sich glänzend, um eine serielle-IO Schnittstelle zu implementieren. Hier werden über ein Xilinx XC9536 8 Ausgänge und 8 Eingänge an die RS232 Schnittstelle z.B. eines PCs angeschlossen....

View Article

SAB0600

Da gab es früher doch mal den legendären Dreiklanggong SAB0600 von Siemens, der allerdings schon lange obsolete ist, und dessen Nachfolger SAE800 auch nicht mehr produziert wird. So ein Baustein ist...

View Article
Browsing all 4 articles
Browse latest View live




Latest Images

Vimeo 10.7.0 by Vimeo.com, Inc.

Vimeo 10.7.0 by Vimeo.com, Inc.

HANGAD

HANGAD

MAKAKAALAM

MAKAKAALAM

Doodle Jump 3.11.30 by Lima Sky LLC

Doodle Jump 3.11.30 by Lima Sky LLC

Doodle Jump 3.11.30 by Lima Sky LLC

Doodle Jump 3.11.30 by Lima Sky LLC

Vimeo 10.6.1 by Vimeo.com, Inc.

Vimeo 10.6.1 by Vimeo.com, Inc.

Vimeo 10.6.0 by Vimeo.com, Inc.

Vimeo 10.6.0 by Vimeo.com, Inc.

Re:

Re:

Re:

Re: